Physical Design Flow From Netlist to GDSII | VLSI.X408

Physical Design Flow From Netlist to GDSII | VLSI.X408


With shrinking process technologies, physical design is becoming extremely challenging. Physical designers are responsible for producing high quality design tapeout, and an understanding of all aspects of physical design from synthesis to tapeout is critical to success. This course is an introduction to the ASIC physical design flow and tools from netlist (gate level) to GDS-II (fractured data).

After an overview of the ASIC physical design flow and synthesis, the course starts with floor planning and block pin assignment. It then covers placement and clock-tree synthesis, followed by routing, and post-route optimization. You will learn RC extraction, static timing analysis, and physical verification. Upon completion of this course, you will possess the essential knowledge and hands-on experience with the backend physical design flows, from a synthesized netlist all the way to layout completion for ASIC chip tapeout.

For the labs, the instructor will explain the tools used primarily for the placement and route part using IC Compiler (ICC). Other tools such as OpenROAD will be integrated within the flow but are available for students to practice on their own.


Learning Outcomes
At the conclusion of the course, you should be able to

  • Explain the terms and acronyms used in Physical Design
  • Discuss the concept of Physical Design, ASIC design flow (RTL-to-GDS)
  • Demonstrate the core features of IC Compiler which is used during the course
  • Generate a GDS from an RTL design

Skills Needed:

Basic knowledge of backend design flow. Hands-on experience with Linux/Unix will be required for lab exercises.

Have a question about this course?
Speak to a student services representative.
Call (408) 861-3860
FAQ
ENROLL EARLY!
This course is related to the following programs:

Sections Open for Enrollment:

Open Sections and Schedule
Start / End Date Quarter Units Cost Instructor
06-21-2024 to 08-23-2024 3.0 $980

Bharat Patel

Enroll

Final Date To Enroll: 06-21-2024

Schedule

Date: Start Time: End Time: Meeting Type: Location:
Fri, 06-21-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 06-28-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 07-05-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 07-12-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 07-19-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 07-26-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 08-02-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 08-09-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 08-16-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE
Fri, 08-23-2024 5:30 p.m. 8:30 p.m. Live-Online SANTA CLARA / REMOTE